新闻  |   论坛  |   博客  |   在线研讨会
Modelsim study
pixelwma | 2011-02-23 15:48:12    阅读:699   发布文章

Modelsim is powerful Simulation Tool. We can use verilog or VHDL or mixed HDL, to view waveform and other features.

It is interesting to design a logic, then view the results by using Modelsim.

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
最近文章
Board Configuration
2011-02-25 14:52:44
Clock Options 2
2011-02-25 14:49:48
Clock Options
2011-02-25 14:45:06
推荐文章
最近访客